목록verilog#counter (1)

from palette import colorful_colors