목록EE 학부과목/verilog (9)

from palette import colorful_colors