목록verilog#nand (1)

from palette import colorful_colors